SACVD (SUB-ATMOSPHERIC CHEMICAL VAPOR DEPOSITION)
- A term coined by Applied Materials to describe CVD processes performed slightly below atmospheric pressure using TEOS/ozone chemistry.
SADP (SELF-ALIGNED DOUBLE PATTERNING)
SALICIDE
- A contraction of self-aligned silicide. Salicide processing technology seeks to exploit the principle that a refractory metal deposited on a patterned silicon substrate will selectively react with exposed silicon under specific processing conditions, and will not react with adjacent materials, such as silicon oxide material. Thus, no patterning step is required.
SAQP (SELF-ALIGNED QUADRUPLE PATTERNING)
- A patterning technique employing sacrificial sidewall spacer films to achieve four times higher resolution than the normal limits of a particular lithography stepper.
SCAN
- In ion implantation, the movement of the ion beam relative to the wafer in order to cover the entire wafer surface.
SCANNER
- A component of an ion implanter that either moves the ion beam across the wafer, or moves the wafer through the ion beam. This may be accomplished with a magnetic field, an electrostatic field or with mechanical motion.
SCANNING ELECTRON MICROSCOPE (SEM)
- A microscope that uses an electron beam rather than light to illuminate the sample. The beam is scanned back and forth across the sample surface.
SCREEN
- In crystalline silicon solar PV manufacturing, a sheet of woven material supporting a stencil with open areas through which silver paste or other materials is forced by a roller or squeegee to form a pattern on a wafer. It is analogous to the photomask in semiconductor manufacturing.
SCREEN OXIDE
- In ion implantation, a thin, sacrificial layer of SiO2 which stops stray ions entrained in the ion beam and which will be subsequently removed. In addition, the screen oxide slightly scatters the main ion beam thus prevents channeling.
SECONDARY VACUUM PUMP
- Any vacuum pump which cannot exhaust directly to atmosphere, i.e. that must work in tandem with a roughing pump. Commonly used secondary pumps in semiconductors are cryopumps and turbopumps.
SECS/GEM (SEMI EQUIPMENT COMMUNICATION STANDARD/GENERIC EQUIPMENT MODEL)
- A software protocol used to standardize communication between semiconductor manufacturing equipment and the host control system. It was designed to simplify factory automation by establishing a common set of instructions that would be understood by all the equipment in a fab.
SEED LAYER
- A nucleation layer where the nucleation material is the same as the subsequently deposited film.
SELECTIVE
- Occurring only where desired without affecting neighboring materials, as in selective deposition or selective removal.
SELECTIVE EMITTER
- A technique used to increase the conversion efficiency of crystalline silicon PV solar cells. Selective emitters are heavily-doped regions placed precisely underneath the front metal contact lines in order to reduce electrical contact resistance and allow electricity to flow more freely. The doped regions are typically fabricated by depositing dopant paste on the surface of the wafer and then printing the contact lines on top.
SELECTIVITY
- The ratio of etch rates observed in two materials during etch processing. Typically used to refer to the relative etch rates of a material intended for removal and the mask, and an important metric of etch pattern fidelity.
SEMICONDUCTOR
- A material whose electrical conductivity is intermediate between that of metals (conductors) and insulators (non-conductors) and can be modified physically or chemically to increase or decrease its conductivity by the addition of dopants.
SILANE (SiH4)
- A gas that readily decomposes into silicon and hydrogen, silane is often used to deposit silicon- containing compounds. It also reacts with ammonia to form silicon nitride, or with oxygen to form silicon dioxide.
SILICIDATION
- An anneal (sintering) process resulting in the formation of metal-silicon alloy (silicide) to act as a contact. For example, Ti deposited on Si forms TiSi2 as a result of silicidation.
SILICIDE
- A compound of silicon with a more electropositive element. Nickel, tantalum, titanium and cobalt silicide films are used to create ohmic (low-resistivity) contacts for transistor connections. Molybdenum silicide is commonly used as a light-absorbing layer in photomasks. Tungsten silicide (polycide) is used for DRAM gate electrodes.
SILICON
- Second most abundant element on Earth characterized with the symbol Si. Silicon is used as the main material for fabricating integrated circuits.
SILICON CARBIDE
- Semiconductor materials made of silicon and carbon characterized with the symbol SiC. This material moves electrical energy more efficiently, which makes it ideal for power electronics.
SILICON DIOXIDE (SiO2)
- The most common dielectric material used in semiconductor manufacturing, due to its versatility and stability. Also known simply as "oxide", it can be grown direction on silicon wafers via thermal oxidation or deposited via PECVD or HDP-CVD processes.
SILICON NITRIDE (Si3N4)
- A silicon/nitrogen film dielectric deposited using plasma-enhanced or LPCVD. Sometimes loosely referred to as SiN.
SINGLE JUNCTION
SIP (SELF-IONIZED PLASMA)
- A high-power magnetron source for PVD processes which imparts sufficient energy to the plasma such that the sputtered metal atoms are ionized. The metal ions can then be accelerated towards the wafer using an electric field, creating a more directional deposition pattern and thus higher step coverage in small geometry structures.
SLURRY
- In semiconductors, a suspension of abrasive solids in a liquid used for CMP processes. In PV, used as the abrasive medium in a wire saw for wafering.
SMO (SOURCE-MASK OPTIMIZATION)
- Source-mask optimization (SMO) is a resolution enhancement technique used in lithography to compensate for image errors due to aberrations, diffraction or process effects.
SOI (SILICON-ON-INSULATOR)
- The use of a layered silicon-insulator-silicon substrate in semiconductor manufacturing. SOI substrates provide reduced parasitic capacitance between adjacent devices in an integrated circuit as compared to devices built into bulk wafers, enabling reduced power consumption and thus higher device performance.
SOLAR CELL
- A device that converts the energy of sunlight directly into electricity by the photovoltaic effect. Multiple cells are wired together to form modules.
SOLAR FARM
- A utility-scale photovoltaic power station.
SOURCE
- The input terminal of an FET.
- In ion implantation, the source of the desired dopant species that are processed into a plasma from which the ion beam is extracted.
- In plasma processes such as etch, the energy source that is used to create and sustain the plasma in the reaction chamber.
SOURCE-DRAIN EXTENSION
- A lightly-doped region extending from the source or drain into the transistor channel designed to spread out the electrical field during operation of a transistor device. Without the extension, the electric fields in very small transistors may be sufficient to damage the gate dielectric and cause device failure.
- The ion implantation process used to create the source-drain extension is an example of damage engineering.
SPC (STATISTICAL PROCESS CONTROL)
- A method for improving quality control in manufacturing by applying statistical techniques to the monitoring and control of a process.
SPILLOVER CUP
- A stationary cooled metal plate, located on top of an implant disk, that captures the ion beam during overscan.
SPLIT LOT
- When different recipes are used within a lot for experimental purposes to improve the performance of a particular process step, the lot is referred to as a split lot.
SPUTTERING
- A method of depositing a film where atoms are ejected from a solid target material due to bombardment of the target by energetic particles.
SQUARING
- In PV wafer manufacturing, the process of cutting silicon ingots into rectangular blocks using a specialized wire saw. The squared blocks, or bricks, are then sliced into individual wafers in the wafering process.
SRAM (STATIC RANDOM ACCESS MEMORY)
- A type of computer memory where each bit is stored in a network of usually 6 or 8 transistors which has two stable states.
- SRAM cells are complex and consume more area on a chip than DRAM cells, but are much faster and more power-efficient.
- Microprocessors and other logic chips are often fabricated with SRAM cells on die for use as cache memory, used to store the most frequently accessed instructions and data.
SRU (SLURRY RECOVERY UNIT)
- A machine used in wafering and CMP that processes used slurry in order to recover material such as abrasives and cooling for subsequent recycling.
STEP COVERAGE
- The ratio of thickness of film on the sides of a feature to the thickness of the film at the bottom (e.g., in a via) or over the top of a feature (e.g., fins of a FinFET) respectively.
STEPPER
- Equipment used to transfer a reticle (photomask) pattern onto a wafer. The same pattern is transferred onto each die on the wafer.
STI (SHALLOW TRENCH ISOLATION)
- A technique to isolate each transistor or memory cell from its neighbors in order to prevent current leakage. The technique employs a pattern of trenches etched in the silicon, filled with an insulating material such as silicon dioxide.
STOCHASTIC DEFECT
- Random defects such as contacts, line edge roughness or pattern collapse that are more prevalent in EUV lithography.
STRAIN ENGINEERING
- Processes used in semiconductor manufacturing that introduce stress into transistors and memory cells by distorting the crystal lattice. In logic, this enables electricity to move more easily through the transistor, increasing transistor performance. In memory, strain can also reduce leakage current, allowing higher cell densities.
STRUCTURED WIRE
- A kind of cutting wire used in wire saws that is formed, or crimped, into a sawtooth or similar profile in order to increase cutting speed.
SUBSTRATE
- The material upon which thin films are manipulated. Silicon is most commonly used for semiconductors and c-Si PV cells. Glass is commonly used for LCD and thin film PV applications.
SUPERLATTICE
- A periodic structure of thin layers of two semiconductor materials.
Back to Top